Vlsi1 Slides PDF
Vlsi1 Slides PDF
Jin-Fu Li
Department of Electrical Engineering
National Central University
Jhongli, Taiwan
C=AxB
a b c d z
Outline
Chapter 1: Introduction to CMOS Circuits
Appendix
Homeworks
Chapter 1
Introduction to CMOS Circuit
Design
g
Jin-Fu Li
Advanced Reliable Systems
y m (ARES)
( E ) Lab.
L .
Department of Electrical Engineering
National Central University
Jhongli, Taiwan
Outline
Introduction
MOS Transistor Switches
CMOS Logic
Circuit and System Representation
1
Binary Counter
a
Present A
state
Next state b
a b A B B
0 0 0 1
0 1 1 0
1 0 1 1
1 1 0 0
A = a’b + ab’ CK
B = a’b’ + ab’ CLR
1-bit Multiplier
C=AxB
2
Switch: MOSFET
MOSFETs are basic electronic devices used
to direct and control logic signals in IC design
MOSFET: Metal-Oxide-Semiconductor Field-
Effect Transistor
N-type MOS (NMOS) and P-type MOS (PMOS)
Voltage-controlled switches
A MOSFET has four terminals: gate, source,
drain, and substrate (body)
Complementary
l MOS (CMOS)
Using two types of MOSFETs to create logic
networks
NMOS & PMOS
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 5
Si Si Si Si Si - Si Si Si Si
+
+ -
Si Si Si Si As Si Si B Si
Si Si Si Si Si Si Si Si Si
3
P-N Junctions
A junction between p-type and n-type
semiconductor forms a diode.
y in one direction
Current flows only
p-type n-type
anode cathode
NMOS Transistor
Four terminals: gate, source, drain, body
Gate–oxide–body stack looks like a capacitor
Gate and body are conductors
SiO2 (oxide) is a very good insulator
Called metal–oxide–semiconductor (MOS) capacitor
Even though gate is no longer made of metal
n+ n+
p bulk Si
4
NMOS Operations
Body is commonly tied to ground (0 V)
When the gate is at a low voltage:
P-type
yp bodyy is at low voltage
g
Source-body and drain-body diodes are OFF
No current flows, transistor is OFF
0
n+ n+
S D
p bulk Si
1
n+ n+
S D
p bulk Si
5
PMOS Operations
Similar, but doping and voltages reversed
Body tied to high voltage (VDD)
Gate low: transistor ON
Gate high: transistor OFF
Bubble indicates inverted behavior
p+ p+
n bulk Si
Threshold Voltage
Every MOS transistor has a characterizing
parameter called the threshold voltage VT
The specific
p value of VT is established during
g
the manufacturing process
Threshold voltage of an NMOS and a PMOS
NMOS PMOS
VA VA
Drain Source
VDD + VDD VDD
VGSp
Gate VA=1 VDD-|VTp| VA=1
VA + Mn VA - Mp
Mn On Gate Mp Off
VGSn -
Source VTn VA=0 VA=0
0 Mn Off Drain 0 Mp On
6
MOS Transistor is Like a Tap…
MOS Switches
NMOS symbol and characteristics
Vth
5v
5v 5v-Vth
0
0v 0
0v
7
CMOS Switch
A complementary CMOS switch
Transmission gate
-s -s
Symbols a C b a b a b
s s s
0v
5
5v 5
5v
Characteristics 0v 0v
5v
CMOS Logic-Inverter
The NOT or INVERT function is often
considered the simplest Boolean operation
F(x)=NOT(x)=x’ Vdd
0 1 1 Vdd/2 Indeterminate
0
logic level
8
Combinational Logic
Serial structure
S1=0 S1=0 S1=1 S1=1
a S2=0 S2=1 S2=0 S2=1
S1
0 1
S1
0 a!=b a!=b
S2
S2 1 a!=b a=b
b
S1=0 S1=0 S1=1 S1=1
a S2=0 S2=1 S2=0 S2=1
S1
0 1
S1
0 a=b a!=b
S2
1 a!=b a!=b
S2
Combinational Logic
Parallel structure
S1=0 S1=0 S1=1 S1=1
a S2=0 S2=1 S2=0 S2=1 S1
0 1
0 a!=b a=b
S1 S2 S2
1 a=b a=b
b
S1=0 S1=0 S1=1 S1=1 S1
a S2=0 S2=1 S2=0 S2=1
0 1
0 a=b a=b
S1 S2 S2
1 a=b a!=b
9
NAND Gate
Output A
A 0 1
0 1 1
B B
1 1 0
A
Output
B
NOR Gate
B A
0 1
Output
0 1 0
B
1 0 0
A
Output
B
10
Compound Gate
F = (( AB) + (CD ))
A B
A
C D B
F
F C
D
A C
B D
a=11 f 0
f=0
11
Structured Logic Design
The inverting nature of CMOS logic circuits
allows us to construct logic circuits for AOI
and OAI expressions using a structured
approach
AOI logic function
Implements the operations in the order AND then
OR then NOT
E.g., g ( a , b , c , d ) = a .b + c .d
OAI logic
l function
f
Implements the operations in the order OR then
AND then NOT
E.g., g ( a , b , c , d ) = ( a + b ) ⋅ ( c + d )
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 23
12
Dual Property
If an NMOS group yields a function of the form
g = a ⋅ (b + c )
p
where the AND and OR operations have been
interchanged
This is an interesting property of NMOS-PMOS
logic that can be exploited in some CMOS designs
VDD
c
b
d
a Group 1 Group 2
Group 3 X
b
a
c d
13
An Example of XOR Gate
Boolean equation of the two input XOR gate
a ⊕ b = a ⋅ b + a ⋅ b, this is not in AOI form
But, a ⊕ b = a ⋅ b + a ⋅ b, this is in AOI form
Therefore, a ⊕ b = ( a ⊕ b ) = a ⋅ b + a ⋅ b
VDD VDD
a b a b
• • • •
b a b a
• • a⊕b • • a⊕b
a a a a
b b b b
Multiplexer
A 11
B 10
C 01 Y
A 1 Y D 00
B 0
S S1 S0
-S A
A
B
Y Y
S
B C
-S D
S1 -S1 S0 -S0
14
Static CMOS Summary
In static circuits at every point in time (except
when switching), the output is connected to
either Vdd or Gnd through a low resistance path
F
Fan-in
i off n (or
( n inputs)
i t ) requires
i 2n (n N-type
N t d n P-
and P
type) devices
Non-ratioed logic: gates operate independent of
PMOS or NMOS sizes
No path ever exists between Vdd and Gnd: low
static p
power
Fully-restored logic (NMOS passes “0” only and
PMOS passes “1” only
Gates must be inverting
15
Behavior Representation
A one-bit full adder (Verilog)
module fadder(sum,cout,a,b,ci);
output sum,
sum cout;
input a, b, ci; a b
Structure Representation
A four-bit full adder (Verilog)
module adder4(s,c4,a,b,ci); a b
output[3:0] sum;
output c4; a[0] b[0] a[1] b[1] a[2] b[2] a[3] b[3]
input[3:0] a, b;
co[0] co[1] co[2]
input ci; ci a0 a1 a2 a3
reg[3:0] s;
s[0] s[1] s[2] s3]
reg c4;
wire[2:0] co;
s adder4
fadder a0(s[0],co[0],a[0],b[0],ci);
fadder a1(s[1]
a1(s[1],co[1],a[1],b[1],co[0]);
co[1] a[1] b[1] co[0]);
fadder a2(s[2],co[2],a[2],b[2],co[1]);
fadder a3(s[3],c4,a[3],b[3],co[2]);
endmodule
16
Physical Representation
Layout of a 4-bit NAND gate
Vdd Vdd
Out
in1
Out in2
in3
in4
Gnd
Specification
Function
Behavioral Design
Function
Structural Design
Function
Timing
Power
Physical Design
17
Chapter 2
MOS Transistor Theory
y
Jin-Fu Li
Ad
Advanced
d Reliable
R li bl SSystems
t (ARES) L
Lab.
b
Department of Electrical Engineering
National Central University
Jhongli, Taiwan
Outline
Introduction
I-V Characteristics of MOS Transistors
Nonideal I
I-V
V Effects
Pass Transistor
Summary
1
MOS Transistor
MOS transistors conduct electrical current by using
an applied voltage to move charge from the source
side to the drain side of the device
An MOS transistor is a m majority-carrier
j y device
In an n-type MOS transistor, the majority carriers
are electrons
In a p-type MOS transistor, the majority carriers are
holes
Threshold voltage
It is defined as the voltage at which an MOS device begins
to conduct (“turn on”)
MOS transistor symbols
NMOS PMOS
MOS Transistor
So far, we have treated transistors as ideal switches
An ON transistor passes a finite amount of current
Depends on terminal voltages
Derive
D i current-voltage
t lt (I
(I-V)
V) relationships
l ti shi s
Transistor gate, source, drain all have capacitance
I = C (ΔV/Δt) -> Δt = (C/I) ΔV
Capacitance and current determine speed
The structure of a MOS transistor is symmetric
Terminals of source and drain of a MOS can be exchanged
2
Vg & Channel for P-Type Body
Accumulation mode Polysilicon Gate
Silicon Dioxide Insulator
Vg<0
P-type Body
Depletion mode
Depletion Region
0<Vg<Vt
Inversion mode
Inversion Region
Vg>Vt Depletion Region
Vgs=0 Vgd
g
s d
n+ n+
p-type body
¾ Cutoff region
9 The
he source and dra
drain
n have free electrons
9 The body has free holes but no free electrons
9 The junction between the body and the source or
drain are reverse-biased, so almost zero current flows
3
NMOS Transistor in Linear Mode
Vgs>Vt Vgd=Vgs Vgs>Vt Vgs>Vgd>Vt
g g
s d s d Ids
n+ n+ n+ n+
p-type body p-type body
Vds=0 0<Vds<Vgs-Vt
¾ Linear region
9 A.k.a. resistive, nonsaturated, or unsaturated region
9 If Vggd=Vggs, then Vds=Vggs-Vggd=0 and there is no electrical field
tending to push current from drain to source
9 If Vgs>Vgd>Vt, then 0<Vds<Vgs-Vt and there is a small positive
potential Vds is applied to the drain , current Ids flows through the
channel from drain to source
9 The current increases with both the drain and gate voltage
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 7
g
s d Ids
n+ n+
p-type body
Vds>Vgs-Vt
¾ Saturation region
9 The Vds becomes sufficiently large that Vgd<Vt, the channel is no longer
inverted near the drain and becomes pinched off
9 However,
However conduction is still brought about by the drift of electrons
under the influence of the positive drain voltage
9 As electrons reach the end of the channel, they are injected into the
depletion region near the drain and accelerated toward the drain
9 The current Ids is controlled by the gate voltage and ceases to be
influenced by the drain
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 8
4
NMOS Transistor
In summary, the NMOS transistor has three
modes of operations
If Vggs<Vt, the transistor is cutoff and no current
flows
If Vgs>Vt and Vds is small, the transistor acts as a
linear resistor in which the current flow is
proportional to Vds
If Vgs>Vt and Vds is large, the transistor acts as a
current source in which the current flow becomes
independent of Vds
The PMOS transistor operates in just the
opposite fashion
N+ N+
5
Channel Charge
Vg
Vs Vd
Cg
Vc
n+ n+
W Gate
N+ N+
6
Carrier Velocity
Charge is carried by e-
Carrier velocity v proportional to lateral E-
field between source and drain
v = μE, where μ is called mobility
E = Vds/L
Time for carrier to cross channel:
t = L / v
= β ⎛⎜Vgs − Vt − ds ⎞⎟Vds
V
⎝ 2⎠
W
Where β = μ C o x
L
7
NMOS Saturation I-V
If Vgd<Vt, channel pinches off near drain
When Vds>Vdsat = Vgs–Vt
Now drain voltage no longer increases current
I ds = β ⎛⎜Vgs − Vt − dsat ⎞⎟Vdsat
V
⎝ 2⎠
β
(V − Vt )
2
= gs
2
2.5
Vds=Vgs-Vt Vgs = 5
2
Linear Saturation
1.5 Vgs = 4
mA)
Ids (m
1
Vgs = 3
0.5
Vgs = 2
Vgs = 1
0
0 1 2 3 4 5
Vds
8
Example
Assume that the parameters of a technology are as
follows 2.5
Vgs = 5
tox = 100 Å
2
μ = 350 cm2/V
/V*ss
Vt = 0.7 V 1.5 Vgs = 4
Ids (mA)
Plot Ids vs. Vds 1
Vgs = 3
Vgs = 0, 1, 2, 3, 4, 5 0.5
Vgs = 2
Use W/L = 4/2 λ Vgs = 1
0
0 1 2 3 4 5
Vds
W ⎛ 3.9 • 8.85 ⋅ 10 − 14 ⎞ ⎛ W ⎞ W
β = μ C ox = ( 350 ) ⎜ −8 ⎟ ⎜ ⎟ = 120 μ A / V
2
L ⎝ 100 ⋅ 10 ⎠⎝ L ⎠ L
9
Channel Length Modulation
Ideally, Ids is independent of Vds for a transistor in
saturation, making the transistor a perfect current
source
1 W
I ds = μ C ox ( V gs − V t ) 2
2 L
Actually, the width Ld of the depletion region between
the channel and drain is increased with Vdb. To avoid
introducing the body voltage into our calculations,
assume the source voltage is close to the body voltage
so Vdb~Vds
Thus the effective channel length is shorten to Leff=L-Ld
Therefore, the Ids can be expressed as
1 W 1 W 1
I ds = μ C ox (V gs − V t ) 2 = μ C ox (V gs − V t ) 2
2 L eff 2 L L
1− d
L
Assume that , then
Ld
<< 1
L
1 W L 1 W
I ds = μ C ox (V gs − Vt ) 2 (1 + d ) = μ C ox (V gs − Vt ) 2 (1 + λVds )
2 L L 2 L
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 19
10
Body Effect
Body effect
Vt is a function of voltage between source and substrate
09
0.9
0.85
0.8
0.75
0.7
V (V)
0.65
T
0.6
0.55
0.5
0.45
Degree
0.4
-2.5 -2 -1.5 -1 -0.5 0
V (V) Low High
BS
Mobility Variation
Mobility μ
It describes the ease with which carriers drift in
the substrate material
It is
i defined
d fi d by
b
μ =(average carrier drift velocity, v)/(electrical field, E)
Mobility varies according to the type of
charge carrier
Electrons have a higher mobility than holes
Thus NMOS has higher current
current-producing
producing capability than
the corresponding PMOS
Mobility decreases with increasing doping-
concentration and increasing temperature
11
Drain Punchthrough & Hot Electrons
Drain punchthrough
When the drain voltage is high enough, the
depletion region around the drain may extend to
source. Thus,
Th causing
i currentt to
t flow
fl irrespective
i ti
of the gate voltage
Hot electrons
When the source-drain electric field is too large,
the electron speed will be high enough to break
the electron-hole p
pair. Moreover,, the electrons
will penetrate the gate oxide, causing a gate
current
Subthreshold Conduction
Subthreshold region
The cutoff region is also referred to as the subthreshold
region, where Ids increases exponentially with Vds and Vgs
Observe in the following figure that at Vgs<V
Vt, the current
drops off exponentially rather than abruptly becoming zero
Ids
Saturation Vds=1.8
1 mA
Subthreshold region
100 uA region
10 uA
1 uA
100 nA
10 nA Subthreshold
1 nA slope
100 pA
Vt
10 pA
0 0.3 0.6 0.9 1.2 1.5 1.8
Vgs
12
Junction Leakage
The p-n junctions between diffusion and the
substrate or well form diodes
The p-type and n-type substrates are tied to GND or
Vdd to ensure these diodes remain reverse-biased
However, reverse-biased diodes still conduct a small
amount of current
V
IL D
N+ N+
Temperature Dependence
The magnitude of the threshold voltage decreases
nearly linearly with temperature
Carrier mobility decreases with temperature
Junction leakage increases with temperature because
Is is strongly temperature dependent
The following figure shows how the current Idsat
decreases with temperature
250
240
210
0 20 40 60 80 100 120
Temperature (C)
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 26
13
Geometry Dependence
The layout designer draws transistors with width and
length Wdraw and Ldraw. The actual gate dimensions may
differ by some factors XW and XL
E.g., the manufacturer may create masks with narrower
polysilicon or may overetch the polysilicon to provide shorter
channels (negative XL)
Moreover, the source and drain tend to diffuse laterally
under the gate by LD, producing a shorter effective
channel length that the carriers must traverse between
source and drain. Similarly, diffusion of the bulk by WD
decreases the effective channel width
Therefore, the actually effective channel length and
width can be expressed as
Leff=Ldraw+XL-2LD
Weff=Wdraw+XW-2WD
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 27
Source
14
Pass Transistor
NMOS pass transistor
Cload is initially discharged, i.e., Vout=Vss
If Vin=Vdd and VS=Vdd, the Vout=Vdd-Vtn
If Vin=Vss and VS=Vdd, the Vout=Vss
Vin Vout
Cload
S
Vin Vout
Cload
-S
VDD
Vs = |Vtp| VDD -Vtn
VDD VDD -2V
2Vtn
VSS
15
Transmission Gate
By combining behavior of the NMOS and PMOS, we
can construct a transmission gate
The transmission gate can transmit both logic one and logic
zero without degradation
g
-S
Vin Vout
Cload
S
Voltage-Controlled Resistor
Consider the case where the control input changes
rapidly, the Vin is Vdd, and the capacitor on the
transmission gate output is discharged (Vss)
The
he transmission
transm ss on gate acts as a res
resistor
stor
mA
Id
-S
Idn+Idp
Vout Vdd
VDD Vss
Cload Idp
d
S
Idn
1 2 3 4 5
Vout
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 32
16
Summary
Threshold drops
Pass transistors suffer a threshold drop when passing the
wrong value: NMOS transistors only pull up to VDD-Vtn, while
PMOS transistors only pull down to |Vtp|
The magnitude of the threshold drop is increased by the
body effect
Fully complementary transmission gates should be used
where both 0’s and 1’s must be passed well
VDD
Velocity saturation and mobility degradation result in less
current than expected at high voltage
This means that there is no point in trying to use a high VDD
to achieve high fast transistors, so VDD has been decreasing
with process generation to reduce power consumption
Moreover, the very short channels and thin gate oxide would
be damaged by high VDD
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 33
Summary
Leakage current
Real gates draw some leakage current
The most important source at this time is subthreshold leakage
between source and drain of a transistor that should be cut off
The subthreshold current of a OFF transistor decreases by an
order of magnitude for every 60-100mV that Vgs is below Vt.
Threshold voltages have been decreasing, so subthreshold
leakage has been increasing dramatically
Some processes offer multiple choices of Vt; low-Vt devices are
used for high performance, while high-Vt devices are used for
low leakage elsewhere
Leakage current causes CMOS gates to consume power when idle.idle
It also limits the amount of time that data is retained in
dynamic logic, latches, and memory cells
In modern processes, dynamic logic and latches require some
sort of feedback to prevent data loss from leakage
Leakage increases at high temperature
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 34
17
Chapter 3
Fabrication of CMOS
Integrated Circuits
Jin-Fu Li
D
Department off El
Electrical
i l Engineering
E i i
National Central University
Jungli, Taiwan
Outline
Background
The CMOS Process Flow
Design Rules
Latchup
Antenna Rules & Layer Density Rules
CMOS Process Enhancements
Summary
Summar
3D Integration Technology Using TSV
1
Introduction
An integrated circuit is created by stacking
layers of various materials in a pre-specified
sequence
Both the electrical properties of the material
and the geometrical patterns of the layer are
important in establishing the characteristics
of devices and networks
Most layers are created first, and then
patterned
tt d using
i lith
lithographic
hi sequence
Doped silicon layers are the exception to this
rule
2
Material Growth and Deposition
Silicon Nitride (Si3N4)
A.k.a. nitride
3SiH4(gas)+4NH3(gas)ÆSi3N4(solid)+12H2(gas)
Nitrides act as strong barriers to most atoms, this
makes them ideal for use as an overglass layer
Polycrystal Silicon
Called polysilicon or just poly for short
It is used as the gate material in MOSFETs
SiH4ÆSi+2H
ÆSi 2H2
It adheres well to silicon dioxide
3
Material Growth and Deposition
Doped Silicon Layers
Silicon wafer is the starting point of the CMOS fabrication
process
A doped
p silicon layer
y is a patterned
p n- or p
p-type
yp section of
the wafer surface
This is accomplished by a technique called ion implantation
Basic section of an ion implanter
Ion beam
wafer
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 7
poly
substrate substrate
4
Lithography
One of the most critical problems in CMOS
fabrication is the technique used to create a
pattern
Photolithography
l
The photolithographic process starts with the
desired pattern definition for the layer
A mask is a piece of glass that has the
pattern defined using a metal such as
chromium
h i
5
Transfer a Mask to Silicon Surface
The figure shown as below depicts the main idea
UV
Hardened
a
mask resist layer
photoresist
wafer wafer
Dopping
The figure shows the etching process
Hardened Patterned
resist layer oxide layer
Oxide layer
Substrate Substrate
Lateral dopping
N+ N+
Substrate Substrate
6
Dopping
The conductive characteristics of intrinsic
silicon can be changed by introducing impurity
atoms into the silicon crystal lattice
Impurity elements that use (provide)
electrons are called as acceptor (donor)
Silicon that contains a majority of donors
(acceptor) is known as n-type (p-type)
When n-type and p-type materials are merged
together, the region where the silicon changes
from n-type to p-type is called junction
MOS Transistor
Basic structure of a NMOS transistor
7
Fabrication Steps for an NMOS
Patterning Implant or n+ n+
SiO2 by
Gate Contact n+ n+
deposition
Oxidation Cuts
p-substrate p-substrate
Polysilicon
Al contacts
Patterning n+ n+
Patterning
Polysilicon
p-substrate Al layer
p-substrate
8
N-Well CMOS Process
Cross Section of Physical Structure Mask (top view)
n-well mask
n-well
p-substrate
n-well
active mask
nitride
oxide
n-well
p-substrate
Active
n-well
p-substrate
Channel stop
n-well
p-substrate
9
N-Well CMOS Process
polysilicon mask
n-well
p-substrate
polysilicon
n+ mask
n+ n+
n-well
p-substrate
n+ mask
oxide
poly poly
n- n- n- n-
n+ n+
Shadow drain implant LDD (lightly doped drain) structure
p+ mask
n+ n+ p+ p+
n-well
p-substrate
p+ mask
10
N-Well CMOS Process
contact mask
n+ n+ p+ p+
n-well
p-substrate
contact mask
metal mask
n+ n+ p+ p+
n-well
p-substrate
metal mask
out
Vdd Vss
in
out
Vdd Vss
11
CMOS Inverter in N-Well Process
p+ p+ n+ n+
n-well
p-substrate
p+ p+ n+ n+
n-well
p-substrate
12
Design Rules
Design rules (layout rules)
Provide a necessary communication link between
circuit designers and process engineers during
manufacturing
f t i phase h
The goal of design rules is to achieve the optimum
yield of a circuit with the smallest area cost
Design rules specify to the designer certain
geometric constraints on the layout artwork
so that the patterns on the processed wafer
will preserve the topology and geometry of
the designs
Design Rules
The design rules primarily address two issues
The geometrical reproduction of features that can
be reproduced by the mask-making and
lith
lithographical
hi l process
The interactions between different layers
Lambda-based rules
Based on a single parameter, lambda, which
characterizes the linear feature – the resolution
of the complete wafer implementation process
13
Examples of Design Rules
3 2
3
Metal1
Contact
or Via 2
Hole
3
2
Transistor Layout
or
Transisto
3 2
14
Design Rules for Vias & Contacts
2
4
Via
1 1
5
Metal to
Metal to 1 Poly Contact
Active Contact 3 2
2
2
15
Latchup
Latchup is defined as the generation of a low-
impedance path in CMOS chips between power
supply rail and the ground rail due to
interaction of parasitic pnp and npn bipolar
transistors
These BJTs form a silicon-controlled
rectifier (SCR) with positive feedback and
virtually short circuit the power rail to ground,
th causing
thus i excessive
i currentt flows
fl and
d even
permanent device damage
p+ n+ n+ p+ p+ n+
NPN PNP
N-well
Rwell
Rsubstrate
P-substrate
2.0mA
Rwell
Iramp
Trigger point
Vne
Rsubstrate
Iramp
-1 0 1 2 3 4 Holding Voltage
Vne
16
Latchup Triggering
Latchup can be triggered by transient current
or voltages that may occur internally to a chip
during power-up or externally due to voltages
or currents
t beyond
b d normall operating
ti ranges
Two possible triggering mechanisms
Lateral triggering & vertical triggering
Ex: the static trigger point of lateral
triggering is
V pnp −on
I ntrigger ≈
α npn Rwell
Latchup Prevention
Reducing the value of resistors and reducing
the gain of the parasitic transistors are the
basis for eliminating latchup
Latchup can be prevented in two basic
methods
Latchup resistant CMOS process
Layout techniques
I/O latchup
p prevention
p
Reducing the gain of parasitic transistors is
achieved through the use of guard rings
17
Guard Rings
Guard rings are that p+ diffusions in the p-
substrate and n+ diffusions in the n-well to
collect injected minority carriers
Vdd
emitter
p+
p-plus
l
n-plus
n+
n-plus base
N-well collector
(substrate)
n+ p+ p+
+
+ + hole current
P+ collects hole current thereby N-well
shielding n+ source/drain
18
Antenna Rules
When a metal wire contacted to a transistor gate is
plasma-etched, it can charge up to a voltage
sufficient to break down thin gate oxide
The metal can be contacted to diffusion to provide a
path for the charge to bleed away
Antenna rules specify the maximum area of metal
that can be connected to a gate without a source or
drain to act as a discharge element
The design rule normally defines the maximum ratio
of
f metal
t l area tto gate
t area such
h th
thatt charge
h on the
th
metal will not damage the gate
The ratios can vary from 100:1 to 5000:1 depending on the
thickness of the gate oxide (and hence breakdown voltage)
of the transistor in question
L2
Length L2 exceeds allowed limit Any source/drain can act as a
discharge element
metal 4
metal 3
L1 metal 2
metal 1
19
Antenna Diode Addition
An alternative method is to attach source/drain
diodes to problem nets as shown below
These diodes can be simple junctions of n-diffusion to p-
substrate rather than transistor source/drain regions
L2
20
CMOS Process Enhancements
Multiple threshold voltages
Low-Vt → more on current, but greater subthreshold leakage
High-Vt → less current, but smaller subthreshold leakage
User low-Vt devices on critical p
paths and higher-V
g t devices
elsewhere to limit leakage power
Multiple masks and implantation steps are used to set the
various thresholds
Silicon on insulator (SOI) process
The transistors are fabricated on an insulator
Two major insulators are used, SiOs and sapphire
Two
T majorj advantages:
d t elimination
li i ti of f th
the capacitance
it b
between
t
the source/drain regions and body, leading to higher-speed
devices; lower subthreshold leakage
21
Summary
Some of more common CMOS technologies
have been covered
p
A representative set of n-well p
process has
been introduced
Concepts of design rules have been presented
The important condition known as latchup has
been introduced with necessary design rules
p
to avoid this condition in CMOS chips
Antenna rules & layer density rules should be
considered in modern manufacturing process
3D Integration Technology
3D integration approaches
3D packaging technology
3D integration using through silicon via (TSV)
3D packaging
k i technology
h l
22
3D Integration Technology
3D integration using TSV
Via-last technology
Via-first technology
Via-First
Vi Fi
(1) Before CMOS
3D Integration Technology
Via-Last
(1) After BEOL & before bonding
23
3D Integration Technology
Fabrication Flow
24
Design Example
Benefits of 3D Integration
Benefits of 3D integration over 2D
integration
High functionality
High
H h performance
f
Small form factor
Low power
25
Road Map of 3D Integration with TSVs
26
Chapter 4
Electrical Characteristics
of CMOS
Jin-Fu Li
Department of Electrical Engineering
National Central University
Jungli, Taiwan
Outline
Resistance & Capacitance Estimation
DC Response
Logic Level and Noise Margins
Transient Response
Delay Estimation
Transistor Sizing
Power Analysis
Scaling Theory
1
Resistance Estimation
Resistance
R = ( ρ / t )( L / W ) , where ( ρ , t , L,W ) is (resistivity,
thickness, conductor length, conductor width)
Sheet
Sh resistance
i
Rs = Ω/ □
Thus R = Rs ( L / W )
W W
1 rectangular block t
R = Rs ( L / W )
W L
t
L L
4 rectangular block
R = Rs (2 L / 2W ) = Rs ( L / W )
S D Cs CD
c
b
a
Vds
2
Drain-Source Resistance
The resistance at point a
The current is approximated by
I ds ≈ β n (Vggs − Vt )Vds
Thus the resistance is
Rn ≈ 1 / β n (Vgs − Vt )
The resistance at point b
The full non-saturated current must be used so
that 1
d =
I ds β n [2(Vgs − Vt )Vdsd − Vdsd2 ]
2
Thus the resistance is
Rn = 2 / β n [2(Vgs − Vt ) − Vds ]
Drain-Source Resistance
The resistance at point c
The current is
1
I ds ≈ β n (V gs − V t ) 2
2
Thus the resistance is
Rn = 2Vds / β n (Vgs − Vt )
2
3
Capacitance Estimation
The switching speed of MOS circuits are
heavily affected by the parasitic capacitances
associated with the MOS device and
i t
interconnection
ti capacitances
it
The total load capacitance on the output of a
CMOS gate is the sum of
Gate capacitance
Diffusion capacitance
Routing
R ti capacitance
it
Understanding the source of parasitic loads
and their variations is essential in the design
process
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 7
MOS-Capacitor Characteristics
The capacitance of an MOS is varied with the
applied voltages
p
Capacitance can be calculated by
y
ε ε
C = 0 x A
d
ε x is dielectric constant
ε 0 is permittivity of free space
Depend on the gate voltage, the state of the
MOS surface may y be in
Accumulation
Depletion
Inversion
4
MOS Capacitor Characteristics
When Vg<0, an accumulation layer is formed
The negative charge on the gate attracts holes
toward the silicon surface
The
Th MOS structure
t t b
behaves
h lik
like a parallel-plate
ll l l t
capacitor
Co tox ε 0 ε SiO
C0 = 2
A
t ox
P-substrate
5
MOS Capacitor Characteristics
When the gate voltage is further increased,
an n-type channel (inversion layer) is created
If the MOS is operated at high frequency, the
surface
f charge
h is
i nott able
bl to
t track
t k fast
f t moving
i
gate voltages
gate gate Vg>0 Low frequency
C gb = C 0
Co tox
Channel
Cdep Depletion layer Hi h ffrequency
High
C0Cdep
P-substrate C gb = = Cmin
C0 + Cdep
1.0
Low freq.
C/Co
High freq.
Vt Vgs
0
6
MOS Device Capacitances
The parasitic capacitances of an MOS
transistor are shown as below
Cgs, Cgd: gate-to-channel capacitances, which are
l
lumped d att the
th source and
d the
th drain
d i regions
i of
f the
th
channel, respectively
Csb, Cdb: source and drain-diffusion capacitances to
bulk
Cgb: gate-to-bulk capacitance Cgd Cdb
gate
7
Approximation of the Cg
The Cg can be further approximated with
ε oε SiO
C g = C ox A , where Cox = 2
tox
The gate capacitance is determined by the
gate area, since the thickness of oxide is
associated with process of fabrication
For example, assume that the thickness of
silicon oxide of the given process is 150×10−8 μm .
Calculate the capacitance of the MOS shown
b l
below 2λ
λ = 0 .5 μ m
4λ
5λ
3 . 9 × 8 . 854 × 10 − 14
Cg = × 2 = 25 . 5 × 2 × 10 − 4 pF ≈ 0 . 005 pF
150 × 10 − 8
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 15
Diffusion Capacitance
Diffusion capacitance Cd is proportional to the
diffusion-to-substrate junction area
Substrate
b
Source Drain
a Diffusion Diffusion
Area Area b
a
Cjp
Xc (a finite depth)
Cja
Cd = C ja × (ab) + C jp × (2a + 2b)
Cja=junction capacitance per micron square
Cjp=periphery capacitance per micron
8
Junction Capacitance
Semiconductor physics reveals that a PN
junction automatically exhibits capacitance
due to the opposite polarity charges involved.
This is called junction or depletion
capacitance and is found at every drain or
source region of a MOS
The junction capacitance is varies with the
junction voltage, it can be estimate as
Vj
C j = C j 0 (1 − )−m
Vb
C j =junction voltage (negative for reverse bias)
C j 0 =zero bias junction capacitance ( Vj = 0 )
Vb =built-in junction voltage ~ 0 .6V
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 17
T
H
9
Multiple Conductor Capacitances
Modern CMOS processes have multiple
routing layers
The capacitance interactions between layers can
become quite complex
Multilevel-layer capacitance can be modeled
as below
Layer 3
C23 C22
Multi-layer
conductor
d t Layer 2
C21
Layer 1
C2=C21+C23+C22
A B C D E F G
m2
m2
m2
m2 m2
C
C m1 m2
m1
C C m1
poly C poly
C C C
Thin-oxide/diffusion
Substrate
10
Inductor
For bond wire inductance
L = μ ln( 4h ) d h
2π d
w
For on-chip metal wires
L = μ ln( 8h + w ) h
2π w 4h
Distributed RC Effects
The propagation delay of a signal along a wire
mainly depends on the distributed resistance
and capacitance of the wire
A long wire can be represented in terms of
several RC sessions, as shown below
Ij-1 Ij
R R Vj-1 R Vj R Vj+1 R
C C C C C
11
Distributed RC Effects
As the number of sections in the network
becomes large (and the sections become
small), the above expression reduces to the
diff
differential
ti l f
form
dV d 2V
rc dt = dx 2 ⇒ t x = kx 2
r : resistance per unit length
c : capacitance per unit length
Alternatively, a discrete analysis of the
ci cuit sh
circuit shown
n in th
the p
previous
vi us p
page yields
i lds an
n
approximate signal delay of
RCn( n + 1)
tn = 0.7 ×
2
, where n=number of sections
rcl 2
t1 = 0.7
2
= 4ns + tbuf
b f + 4 ns = 8ns + tbuf
b f
12
Crosstalk
A capacitor does not like to change its voltage
instantaneously.
A wire has high capacitance to its neighbor.
When the neighbor switches from 1-> 0 or 0->1,
the wire tends to switch too.
Called capacitive coupling or crosstalk.
Crosstalk effects
Noise on nonswitching wires
Increasedd delay
d l on switching
h wires
Crosstalk Delay
Assume layers above and below on average are
quiet
Second terminal of capacitor can be ignored
Model as Cgnd = Ctop + Cbot
Effective Cadj depends on behavior of
neighbors
Miller effect
B ΔV Ceff(A) MCF
Constant VDD Cgnd + Cadj 1 A B
Cadj
Switching with A 0 Cgnd 0 Cgnd Cgnd
Switching opposite A 2VDD Cgnd + 2 Cadj 2
13
Crosstalk Noise
Crosstalk causes noise on nonswitching wires
If victim is floating:
model as capacitive voltage divider
Cadj
ΔVvictim = ΔVaggressor
Cgnd −v + Cadj
Aggressor
ΔVaggressor
Cadj
Victim
Cgnd-v ΔVvictim
Driven Victim
Usually victim is driven by a gate that fights
noise
Noise depends on relative resistances
Victim driver is in linear region, agg. in saturation
If sizes are same, Raggressor = 2-4 x Rvictim
Cadj 1
ΔVvictim = ΔVaggressor Raggressor
Cgnd −v + Cadj 1+ k Cgnd-a
Aggressor
ΔVaggressor
Cadj
Rvictim
τ aggressor Raggressor ( Cgnd −a + Cadj )
Victim
Cgnd-v ΔVvictim
k= =
τ victim Rvictim ( Cgnd −v + Cadj )
14
Simulation Waveforms
Simulated coupling for Cadj = Cvictim
Aggressor
1.8
1.5
1.2
0.6
Victim (half size driver): 16%
0
0 200 400 600 800 1000 1200 1400 1800 2000
t(ps)
DC Response
DC Response: Vout vs. Vin for a gate
Ex: Inverter
When Vin = 0 ÆVout=VDD
When Vin = VDD ÆVout=0 VDD
In between, Vout depends on Idsp
transistor size and current Vin Vout
By KCL, must settle such that Idsn
Idsn = |Idspp|
We could solve equations
But graphical solution gives more insight
15
Transistor Operation
Current depends on region of transistor
behavior
For what Vin and Vout are NMOS and PMOS
MOS
in
Cutoff?
Linear?
Saturation?
NMOS Operation
VDD
Idsp
Vgsn = Vin Vin Vout
Vdsn = Vout Idsn
16
NMOS Operation
Cutoff Linear Saturated
Vgsn < Vtn Vgsn > Vtn Vgsn > Vtn
Vin
i < Vtn
t Vin
i > Vtn
t Vin
i > Vtn
t
Vdsn < Vgsn – Vtn Vdsn > Vgsn – Vtn
Vout < Vin - Vtn Vout > Vin - Vtn
VDD
PMOS Operation
VDD
17
PMOS Operation
Cutoff Linear Saturated
Vgsp > Vtp Vgsp < Vtp Vgsp < Vtp
Vin > VDD + Vtp Vin < VDD + Vtp Vin < VDD + Vtp
Vdsp > Vgsp – Vtp Vdsp < Vgsp – Vtp
Vout > Vin - Vtp Vout < Vin - Vtp
VDD
I-V Characteristics
Make pMOS is wider than nMOS such that βn
= βp
Vgsn5
Vgsn4
Idsn
Vgsn3
-Vdsp
-VDD Vgsn2
Vgsp1 Vgsn1
Vgsp2 0 VDD
Vgsp3 Vdsn
Vgsp4 -Idsp
Vgsp5
18
Current & Vout, Vin
Vin1 Vin5
Vin2 Vin4
Idsn, |Idsp|
Vin3 Vin3
Vin4 Vin2
Vin5 Vin1
VDD
Vout
Vin1 Vin5
19
DC Transfer Curve
Transcribe points onto Vin vs. Vout plot
Vin1 Vin5
Vin2 Vin4
Vin3 Vin3
Vin4 Vin2
Vin5 Vin1
VDD
Vout
VDD
A B
Vout
C
D
E
0 Vtn VDD/2 VDD+Vtp
VDD
Vin
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 39
Operation Regions
Revisit transistor operating regions
20
Beta Ratio
If βp / βn ≠ 1, switching point will move from
VDD/2
Called skewed gate
Other gates: collapse into equivalent inverter
VDD
βp
= 10
βn
Vout 2
1
0.5
βp
= 0.1
βn
0
VDD
Vin
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 41
Noise Margin
How much noise can a gate input see before it
does not recognize the input?
21
Transient Analysis
DC analysis tells us Vout if Vin is constant
Transient analysis tells us Vout(t) if Vin(t)
changes
g
Switching Characteristics
Switching characteristics for CMOS inverter
Vin(t) Vout(t)
Vds=Vgs-Vt
CL
VDD Ids
Vin(t)
t
VDD tdf tdr
90%
Vout(t) Vout(t) VDD
50%
10%
t
tf tr
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 44
22
Switching Characteristics
Rise time (tr)
The time for a waveform to rise from 10% to 90%
of its steady-state value
Fall time (tf)
The time for a waveform to fall from 90% to 10%
steady-state value
Delay time (td)
The time difference between input transition
(50%) and the 50% output level
level. (This is the time
taken for a logic transition to pass from input to
output
High-to-low delay (tdf)
Low-to-high delay (tdr)
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 45
PMOS PMOS
Input rising Vout(t) Vout(t)
23
Timing Calculation
tf1 can be calculated with the current-voltage
equation as shown below, while in saturation
dV β
C L out + n (VDD − Vtn ) 2 = 0
dt 2
tf2 also can be obtained by the same way
Finally, the fall time can be estimated with
CL
tf ≈ k ×
β nVDD
Similarly, the rise time can be estimated with
CL
tr ≈ k ×
β pVDD
Thus the propagation delay is
t p ≈ k × CL ( 1 + 1 )
VDD β n βp
Design Challenges
β n =β p , rise time=fall time
This implies Wp=2-3Wn
Reduce CL
Careful layout can help to reduce the diffusion and
interconnect capacitance
Increase β n and β p
Increase the transistor sizes also increases the
diffusion capacitance as well as the gate capacitance.
The latter will increase the fan-out
fan out factor of the
driving gate and adversely affect its speed
Increase VDD
Designers don’t have too much control over this
24
Gate Delays
Consider a 3-input NAND gate as shown below
P3 P2 P1
out
IN 3
IN-3 N3
IN-2
N2
IN-1
N1
Gate Delays
Graphical illustration of the effect of series
transistors
L 3L
w w
In general,
n l th
the fall
f ll tim
time tf is mtf (tf/m) for
f mn n-
transistors in series (parallel). Similarly the rise
time tr for k p-transistors in series (parallel) is ktr
(tr/k)
25
Switch-Level RC Model
RC modeling
Transistors are regarded as a resistance
discharging or charging a capacitance
Simple RC modeling
Lumped RCs Rp
Example
Consider a 4-input NAND as shown below
Simple RC model
t dff = ∑ R ppulldown × ∑ C ppulldown − ppath
= (RN1 + RN2 + RN3 + RN4 ) ×(Cout + Cab + Cbc + Ccd )
t dr = R p 4 × Cout
P4 P3 P2 P1
out
Elmore RC model A N4
Cab
Cout
t d = ∑ Ri Ci B N3
i
Cbc
tdf = (RN1 ×Ccd ) + [(RN1 + RN 2 ) × Cbc] C N2
+ [(RN1 + RN 2 + RN 3) × Cab] Ccd
D N1
+ [( RN 1 + RN 2 + RN 3 + RN 4 ) × Cout ]
26
Cascaded CMOS Inverter
As discussed above, if we want to have
approximately the same rise and fall times for an
inverter, for current CMOS process, we must
m k
make
Wp =2-3Wn
Increase layout area and dynamic power
dissipation
In some cascaded structures it is possible to use
minimum or equal
equal-size
size devices without
compromising the switching response
In the following, we illustrate two examples to
explain why it is possible
Wp=2Wn = 6 RC eq
Example 2:
tinv
inv-pair
pair
t inv − pair = t fall + t rise
2/1 Icharge
2R R = R 2 C eq + 2 R 2 C eq
2/1 2Ceq
Idischarge
2Ceq
= 6 RC eq
Wp=Wn
27
Stage Ratio
To drive large capacitances such as long buses,
I/O buffers, etc.
Using a chain of inverters where each successive
inverter is made larger than the previous one until
the last inverter in the chain can drive the large
load in the time required
The ratio by which each stage is increased in size
is called stage ratio
Consider the circuit shown below
It consists of n-cascaded inverters with stage-
ratio a driving a capacitance CL
1 a a2 a3
CL
n(4) stages
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 55
Stage Ratio
The delay through each stage is atd, where td is
the average delay of a minimum-sized inverter
driving another minimum-sized inverter
Hence
H the
th delay
d l through
th h n stages
st s is nattd
If the ratio of the load capacitance to the
capacitance of a minimum inverter, CL/Cg, is R,
then an=R
Hence ln(R)=nln(a)
Thus the total delay is ln(R)(a/ln(a))td
The optimal stage ratio may be determined from
k + a opt
C drain
a opt = e where k is C gate
a opt
28
Power Dissipation
Instantaneous power
The value of power consumed at any given instant
P ( t ) = v ( t )i ( t )
Peak
P k power
The highest power value at any given instant; peak
power determines the component’s thermal and
electrical limits and system packaging
requirements
Ppeak = Vi peak
Average power
The total distribution of power over a time period;
average power impacts the battery lifetime and
heat dissipation
t+T t +T
Pave = 1 ∫ P ( t ) dt = V ∫ i ( t ) dt
T t T t
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 57
29
Static Power
Static dissipation is major contributed by
Reverse bias leakage between diffusion regions
and the substrate
Subthreshold conduction
Vin
Gnd VDD
Vout PN junction reverse bias
leakage current
p+ n+ n+ p+ p+ n+
n-well
i0 = is (eqV / KT −1)
n
Ps = ∑ Ileakage×Vsup ply
p-substrate
1
n=number of devices
T
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 60
30
Power & Energy
Energy consumption of an inverter
(from 0 → VDD )
The energy drawn from the power supply is
E = QV = C LV DD
2
31
Short-Circuit Power Dissipation
Imean can be estimated as follows
Vin T
VDD
VDD-|V
|Vtp tr tf
t |
Imax
Imean
t1 t2 t3
1 t2 t3
I mean = 2 × [ ∫ i ( t ) dt + ∫ i ( t ) dt ]
T t 1 t2
4 t2
I mean = [ ∫ i ( t ) dt ]
T t 1
32
Power Analysis for Complex Gates
The dynamic power for a complex gate cannot
be estimated by the simple expression CLVDDf
Dynamic power dissipation in a complex gate
Internal cell power
Capacitive load power VDD
n out
P = ∑α C VV A C
int i i i DD f
i =1 B C2
33
Rules for Avoiding Glitch Power
Balance delay paths; particularly on highly
loaded nodes
34
Layout Guidelines for LP Designs
Identify, in your circuit, the high switching nodes
Keep the wires of high activity nodes short
Use low-capacitance layers (e.g., metal2, metal 3,
etc ) for high capacitive nodes and busses
etc.)
Avoid, if possible, the use of dynamic logic design
style
For any logic design, reduce the switching activity,
by logic reordering and balanced delays through
gate tree to avoid glitch problem
In
I non-critical
iti l paths,
th use minimum
i i size
i d devices
i
whenever it is possible without degrading the
overall performance requirements
If pass-transistor logic style is used, careful
design should be considered
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 69
35
Power & Ground Bounce
An example of ground bounce
Voltage
Vin
L
Vout
VDD Pad
Time
Current
Ground bounce
36
Contact Replication
Current tends to concentrate around the
perimeter in a contact hole
This effect, called current crowding, puts a
practical
ti l upper li
limit
it on th
the size
i off th
the contact
t t
When a contact or a via between different layers is
necessary, make sure to maximize the contact
perimeter (not area)
Charge Sharing
Charge Q=CV
A bus example is illustrated to explain the
charge sharing phenomenon
A bus can be modeled as a capacitor Cb
An element attached to the bus can be modeled as
a capacitor Cs
Bus
Vb Cb
Vs Cs
(Qb = CbVb ) (Qs = CsVs )
QT = CbVb + CsVs QT
VR = = (CbVb + CsVs ) /(Cb + Cs )
CT
CT = Cb + Cs
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 74
37
Design Margining
The operating condition of a chip is influenced by
three major factors
Operating temperature
Supply
S l voltage
lt
Process variation
One must aim to design a circuit that will reliably
operate over all extremes of these three
variables
Design corners
Simulating circuits at all corners is needed
SS
TT
FF
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 75
Package Issues
Packaging requirements
Electrical: low parasitics
Mechanical: reliable and robust
Thermal:
h l efficient
ff heat
h removall
Economical: cheap
Bonding techniques
Wire Bonding
Substrate
Die
Pad
Lead Frame
38
Yield Estimation
No. of good chips per wafer
Y= ×100%
Total number of chips per wafer
Wafer
W f costt
Die cost =
Dies per wafer × Die yield
π × (wafer diameter/2)2 π × wafer diameter
Dies per wafer = −
die area 2 × die area
Die Cost
Single die
Wafer
39
Scaling Theory
Consider a transistor that has a channel width
W and a channel length L
We wish to find out how the main electrical
characteristics
h t i ti change
h when
h both
b th dimensions
di i
are reduced by a scaling factor S>1 such that
the new transistor has sizes
~ W ~ L
W = S L=S
Gate area of the scaled transistor
A~ = SA 2
Scaling Theory
The oxide capacitance is given by
ε
Cox = t ox
ox
If the new transistor has a thinner oxide that is
d
decreased d as ~tox = ox , then
th the
th scaled
l d device
d i hash
t
S
~
Cox = SCox
The transconductance is increased in the scaled
device to
~
β = Sβ
The resistance is reduced in the scaled device to
1 R
R~ = =
S β (V DD − VT ) S
40
Scaling Theory
On the other hand, if we can scale the voltages in
the scaled device to the new values of
V~DD = VDD V~T = VT
S S
The resistance of the scaled device would be
unchanged with R = R
~
Summary
We have presented models that allow us to
estimate circuit timing performance, and
power dissipation
p p
Guidelines for low-power design have also
been presented
The concepts of design margining were also
introduced
The scaling theory has also introduced
41
Chapter 5
Elements of Physical Design
Jin-Fu Li
Advanced Reliable Systems (ARES) Lab.
Lab
Department of Electrical Engineering
National Central University
Jhongli, Taiwan
Outline
Basic Concepts
Layout of Basic Structures
Cell
C ll Concepts
C ts
MOS Sizing
Physical Design of Logic Gates
Design Hierarchies
1
Basic Concepts
Physical design
The actual process of creating circuits on silicon
During this phase, schematic diagrams are carefully
translated into sets of geometric patterns that are
used
d to
t define
d fi ththe on-chip
hi physical
h i l structures
t t
Every layer in the CMOS fabrication sequence is
defined by a distinct pattern
The process of physical design is performed using
a computer tool called a layout editor
A graphics
graph cs program that allows the designer
des gner to spec
specify
fy
the shape, dimensions, and placement
Complexity issues are attacked by first designing
simple gates and storing their descriptive files in
a library subdirectory or folder
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 3
Basic Concepts
The gates constitute cells in the library
Library cells are used as building blocks by
creating
g copies
p of the basic cells to
construct a larger more complex circuit
This process is called instantiate of the cell
A copy of a cell is called an instance
Much of the designer’s work is directed
toward the g
goal of obtaining
g a fast circuit in
the minimum amount of area
Small changes in the shapes or area of a polygon
will affect the resulting electrical characteristics
of the circuit
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 4
2
CAD Toolsets
Physical design is based on the use of CAD
tools
Simplify the procedure and aid in the verification
process
Physical design toolsets
Layout editor
Extraction routine
Layout versus schematic (LVS)
Design rule
l checker
h k (DRC)
( )
Place and route routine
Electrical rule checker (ERC)
3
Layout of Basic Structures
It is worth remembering that the features on
every level have design rule specifications for
the minimum width w of a line, and a minimum
edge to edge spacing s between adjacent
edge-to-edge
polygons
For example,
n+ n+ W
n+ n+
P
PMOS
L
Poly
L Poly
p+ p+ W
p+ p+
N-well
P N-well
4
The Layout of a CMOS Inverter
A transistor-level CMOS inverter & the
corresponding layout
Vdd
Vdd
Vin Vout
Vin Vout
Vss
Vss
Vdd
Vdd
z
a
z b
b
Vss
Vss
5
Layouts of a 2-Input NOR Gate
Vdd Vdd
a
z z
b
Vss Vss
b a
Cell Concepts
The basic building blocks in physical design
are called cells
Logic gates as basic cells
XNOT XNAND2 XNOR2
Vdd Vdd Vdd Vdd Vdd Vdd
in1 in1
in out out out
in2 in2
Note that power supply ports for Vdd and Vss are
chosen to be at the same locations for every cell
The width of each cell depends on the transistor
sizes and wiring used at the physical level
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 12
6
Cell Creation Using Primitive Cells
Create a new cell providing the function
f=a’b
Vdd
a f
b
Vss
2XNOT+XNAND2
Vdd
a f
b
Vss
Layout of Cells
Vdd & Vss power supply lines
Vdd
nWell PMOSs
Dm1-m1
Pm1-m1
P-substrate NMOSs
Vss
Dm1-m1: edge-to-edge
edge to edge distance between Vdd and Vss
Pm1-m1: distance between the middle of the Vdd and
Vss lines
Pm1-m1=Dm1-m1+Wdd, where Wdd is the width of the
power supply lines
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 14
7
Layout of Cells
Layout styles of transistors
Vdd
WP
WP
Wn
Wn
Vss
A B C D
Vss
A B C D
Vss
8
Routing Channels
Interconnection routing considerations are very
important considerations for the Vss-Vdd spacing
In complex digital systems, the wiring is often more
complicated
p than designing
g g the transistor arraysy
The general idea for routing
Metal3
Vdd Wiring
cell1 cell2 cell3 cell4 cell5
Vss
Routing Metal1
Channel Wiring
Vdd
Metal2
cell6 cell7 cell8 cell9 Wiring
Vss
Routing Metal1
Channel Wiring
Vdd
cell10 cell11 cell12 cell13
Vss
High-Density Techniques
Alternate Vdd and Vss power lines and share
them with cells above and below
For example,
Vdd
Logic cells
Vss
Inverted logic cells
Vdd
Logic cells
Vss
Inverted logic cells
Vdd
9
High-Density Techniques
MOS transistor placement
PMOS transistors
Vdd
PMOS transistors
nWell
nWell
PMOS transistors
Vdd
PMOS transistors
Port Placement
An example of the port placement in a cell
Vdd
Metal1
input
Metal1
output
Vss
To routing channel
10
MOS Sizing in Physical Design
A minimum-size MOS transistor is the
smallest transistor that can be created using
the design rule set
Scaling of the unit transistor L
L L
4W
W 2W
X 2X 4X
L L
W X 2X 2W
C D Vdd
A z
Z
C A B
Vss
D
A B C D
11
Physical Design of XNOR Gate (1)
A Z’
B Z
Vdd
A Z’
Z’ z
B
A B
Z’
Vss
A B
A
B
Z
Vss Vdd
12
Automation of Physical Design
A B C D E
Vdd
E A
D C B
E
Vss
D E C A B D E C A B
Vdd
Vss
Wp
Dnp
Wn
a b c d z
WVss
13
Standard-Cell Physical Design
Vdd Vdd
Vss Vss
a b c z a b c z
Vdd
Vss
14
Gate-Array Physical Design
Vdd
Routing channels
Vss
P-transistors
poly gates
N-transistors
Vss supply
substrate contacts
15
Sea-of-Gate Physical Design
a b c
a b c z z
a b c
16
Guidelines for Improving Density
Better use of routing layers – routes can
occurs over cells
More “merged”
merged source
source-drain
drain connections
More usage of “white” space in sparse gates
Use of optimum device sizes – the use of
smaller devices leads to smaller layouts
Layout Optimization
Vary the size of the transistor according to the
position in the structure
Vdd
clk
A<0>
A<1> F
A<2>
A<3>
Vss
17
Layout Optimization
2
A
B
C Z B C D
D
Vdd
Right Z Wrong
g
Vss
A B C D A B C D
18
Routing to Transmission Gates
2-Input Multiplexer
a
z
-c a z b
b
c -c
19
Design Hierarchies
Layout level
Subsystems
Module 1 Module m
Module 1 Module 4
Module 5 Chips
Module 3
Module 2
Module 6
Summary
Basic physical design concepts have been
introduced
Cell concepts have also presented
Layout optimization guidelines have been
summarized
Design hierarchy has been briefly introduced
20
Chapter 6
Combinational CMOS
Circuit and Logic Design
Jin-Fu Li
Advanced Reliable Systems (ARES) Laboratory
Department of Electrical Engineering
National Central University
Jhongli, Taiwan
Outline
Advanced CMOS Logic Design
I/O Structures
1
Pseudo-NMOS Logic
A pseudo-NMOS inverter
VDD
βp
F
A βn VL
Time
The low output voltage can be calculated as
βP
β n (V DD − V tn )V L = (V DD − | V tp |) 2
2
for Vtn = −Vtp = Vt
βP
VL = (V DD − V T )
2βn
Pseudo-NMOS Logic
An N-input pseudo-NMOS gate
Vout
NMOS
inputs
network
2
Pseudo-NMOS XOR Gate
An example of XOR gate realized with pseudo-
NMOS logic
The XOR is defined by
Y = X1 ⊕ X 2 = X1 X 2 + X1 X 2 = X1 X 2 + X1 X 2 = X1 X 2 + X1 + X 2
Y
X1 X2
3
Choosing Transistor Sizes
Power dissipation
A pseudo-NMOS logic gate having a “1” output has no
static (DC) power dissipation.
However,
However a pseudo-NMOS
pseudo NMOS gate having a “0”
0 output
has a static power dissipation
The static power dissipation is equal to the current of
the PMOS load transistor multiplied by the power supply
voltage. Thus, the power is given by
μ C W
Pdc = p ox ( ) P (V gs − V tp ) 2 V dd
2 L
The large PMOS results in large power dissipation
Power-reduction methods
Select an appropriate PMOS
Increase the bias voltage of PMOS
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 7
4
An Example
Choose appropriate sizes for the pseudo-
NMOS logic gate shown below
(W/L)8 is 5 um/0.8 um
(W/L)eq is (5/0
(5/0.8)/2=3.125
8)/2=3 125
Gate lengths of drive transistors are taken at their
minimum 0.8um
Q8 5/0.8
Thus we can obtain
Y
Transistor Size
Q1 2.5um/0.8um X1 Q1 X2 Q2 X4 Q4
Q2 5 0um/0 8um
5.0um/0.8um
Q3 5.0um/0.8um X5 Q5
Q4 10um/0.8um
Q5 10um/0.8um X3 Q3 X6 Q6
Q6 10um/0.8um
Q7 10um/0.8um X7 Q7
Dynamic Logic
To eliminate the static power dissipation of
pseudo-NMOS logic
An alternative technique is to use dynamic precharging
called dynamic logic as shown below
PR
Vout
NMOS
inputs
network
5
Dynamic Logic
Another dynamic logic technique
Vout
NMOS Evaluate
inputs
network Precharge
CLK
CLK
clk
clk
A Y=ABC
C Z=(A+B).C
B
A B C
clk clk
6
Problems of Dynamic Logic
Two major problems of dynamic logic
Charge sharing
Simple single-phase dynamic logic can not be cascaded
Charge sharing
clk=1
A
C
1 A CVDD = (C + C1 + C2 )VA
B C2 C1 C
C1 C
1 VA = VDD
C
C + C1 + C2
C2
0
charge sharing model E.g., if C1 = C2 = 0.5C
clk=1 then output voltage is
VDD/2
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 13
clock
N1 N2
N1
inputs N Logic N Logic
T d1
Erroneous State
clock N2
T d2
7
CMOS Domino Logic
Domino logic can be cascaded
The basic structure of domino logic
Vout
NMOS
inputs
network
CLK
A Domino Cascade
An example of cascaded domino logics
Stage 1 Stage 2 Stage 3
Vout
NMOS NMOS NMOS
network network network
CLK
precharge evaluate
8
Charge-Keeper Circuits
The domino cascade must have an
evaluation interval that is long enough to
allow every stage time to discharge
This means that charge sharing and charge
leakage processes that reduce the internal
voltage may be limiting factors
Two types of modified domino logics can
cope with this problem
Static version
Latched version
Charge-Keeper Circuits
Modified domino logics
Weak PMOS Weak PMOS
Z Z
Clk Clk
9
Complex Domino Gate
In a complex domino gate, intermediate
nodes have been provided with their own
precharge transistor
F
N-logic
N-logic N-logic
N-logic
CLK
F1
A
F2
B
CLK
10
A Multiple-Output Domino Logic Gate
F1 A ⊕ B ⊕ C ⊕ D
D D’
F2 A ⊕ B ⊕ C
C C C’ C
F3 A ⊕ B
B B’ B’ B
A A’
CLK
NP Domino Logic
A further refinement of the domino logic
is shown below
The domino buffer is removed, while
cascaded logic blocks are alternately
composed of P- and N-transistors
11
NP Domino Logic
NP domino logic with multiple fanouts
Pass-Transistor Logic
12
Pass-Transistor Logic
Model for pass transistor logic
Control signals
Pi
Pass signals
Product term (F)
Vi
Pass-Transistor Logics
Different types of pass-transistor logics for
two-input XNOR gate implementation
A
-A A
-B
-B
-A OUT OUT
A OUT
B
B B
13
Full-Swing Pass-Transistor Logic
Modifying NMOS pass-transistor logic so
full-level swings are realized
B
Y
14
A Fully Differential Logic Circuit
One simple and popular approach for realizing
differential logic circuit is shown below
The inputs to the drive network come in pairs, a single-
ended signal and its inverse
The NMOS network can be divided into two separate
networks, one between the inverting output and ground,
and a complementary network between the noninverting
output and ground
Vout- Vout+
V1 +
V1 - Fully Differential
Vn+ NMOS Network
Vn-
Examples
Differential CMOS realizations of AND and
OR functions
AB AB A+B A+B
A A
A B A B
B
B
15
Examples
Differential CMOS realization of the
function Vout=(A+B’)C+A’E
Vout Vout
C E A E
A B A B C
Vout- Vout+
Vref Vref
V- V+
V1+
V1-
Differential
NMOS Network
Vn+
Vn-
16
Differential Split-Level Logic
Features of DSL logic
The loads have some of the features of both
continuous loads and cross-coupled load
Both outputs begin to change immediately
The loads do have d.c. power dissipation, but normally
much less than pseudo-NMOS gates and dynamic power
dissipation
The nodes V+, V-, and all internal nodes of the
NMOS network have voltage changes between
greater than 0V and Vref-Vtn
This reduced voltage swing increases the speed of the
logic gates
The maximum drain-source voltage across the
NMOS transistors is reduced by about one-half
This greatly minimizes the short-channel effects
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 33
Vout- Vout+
V1+
V1- Pass-Transistor
Network
Vn+
Vn-
17
Differential Pass-Transistor Logic
Other features of pass-transistor logic
It removes the ratio requirements on the logic
and has guaranteed functionality
The cross-coupled
cross coupled loads restore signal levels to
full Vdd levels, thereby eliminating the voltage
drop
Examples:
AB AB A B
A+B A+B
A- A+ A- A+ A- A+ A-
A+
B- B+
B+ B-
CLK
Vout- Vout+
V1+
V1- Differential
NMOS Network
Vn+
Vn-
CLK
18
Dynamic Differential Logic
Features of dynamic Domino logic
Its d.c. power dissipation is very small,
whereas its its speed
p still quite
q good
g
Because of the buffers at the output, its
output drive capability is also very good
One of major limitations of Domino logic,
the difficulty in realizing inverting
functions,, is eliminated because of the
differential nature of the circuits
Vout- Vout+
V1+
V1- Differential
NMOS Network
Vn+
Vn-
CLK
19
Clocked CMOS (C2MOS)
Structure of a C2MOS gate
Ideally, clocks are non-overlappingÆCLK X CLK=0
CLK=1, f is valid
CLK=0,
CLK=0 the output is in a high
high-impedance
impedance state.
state During
this time interval, the output voltage is held on Cout
PMOS
… Network
CLK
f
CLK +
Cout Vout
NMOS -
…
Network
B
B A
A
CLK
AB
CLK
CLK A+B
Cout
A CLK
Cout
B B A
20
D w f M L g
Gates
The problem of charge leakage
Cause that the output node cannot hold the
charge on Vout very long
Th
The basics
b i of f charge
h leakage
l k are shown
h
below V
V(t)
dd
V1
CLK=1 iout
ip
VX
+
CLK=0 i n Cout Vout
-
0 th t
dV V (t ) IL t I
iout = in − i p = − C out
dt ∫V1
dV = − ∫
C out
dt ⇒ V ( t ) = V1 − L t
0 C out
iout I
⇒ dV = − dt V ( t h ) = V1 − L t h = V X
Cout C out
C
Assume iout is a constant IL ⇒ t h = out (V 1 − V X )
IL
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 41
I/O Pads
Types of pads
Vdd, Vss pad
Input pad (ESD)
O t t pad
Output d (d
(driver)
i )
I/O pad (ESD+driver)
All pads need guard ring for latch-up
protection
Core-limited pad & pad-limited pad
Core-limited
Core limited pad Pad-limited p
pad
PAD PAD
21
ESD Protection
Input pad without electrostatic discharge
(ESD) protection
PAD
Bidirectional pad
PAD
22
Schmitt Trigger Circuit
Voltage transfer curve of Schmitt circuit
Vout
VDD
Vin
VT- VT+ VDD
VT+
VT-
Time
23
Schmitt Trigger Circuit
A CMOS version of the Schmitt trigger circuit
VDD
P1
VFP P3
P2
Vin Vout
N2
VFN N3
N1
Summary
The following topics have been introduced
in this chapter
CMOS Logic Gate Design
Advanced CMOS Logic Design
Clocking Strategies
I/O Structures
24
Chapter 7
Sequential Circuits
Jin-Fu Li
y
Advanced Reliable Systems (ARES)
( ) Lab.
Department of Electrical Engineering
National Central University
Jungli, Taiwan
Outline
Latches & Registers
Sequencing Timing Diagram
1
Sequencing
Combinational logic
Output depends on current inputs
Sequential
q logic
g
Output depends on current and previous inputs
Requires separating previous, current, future
Called state or tokens
Ex: FSM, pipeline
clk clk clk clk
in out
CL CL CL
Sequencing Elements
Latch: Level sensitive
A.k.a. transparent latch, D latch
Flip-flop: edge triggered
A.k.a. master-slave flip-flop, D flip-flop, D
register clk clk
Timing Diagrams
Latch
Flop
D Q D Q
Transparent
Opaque clk
Edge-trigger
D
Q (latch)
Q (flop)
2
Latches
Negative-level sensitive latch
D 0 clk
Q
1 D
clk
Q
clk
0
Q D
D 1
clk Q
Registers
Positive-edge triggered register (single-
phase clock)
clk
D 0 0
QM D
Q
1 1
S S QM
clk clk
Q
master slave
3
Registers
Operations of the positive-edge triggered
register
clk=0
clk=1
Registers
CMOS circuit implementation of the positive-
edge triggered register
clk clk
4
Single-Phase Latch
Positive active-static latch
-clk
D Q 1
1. Low area cost
2. Driving capability of
clk D must override the
feedback inverter
-clk
D Q
clk
clk
-clk
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 9
Vdd
clk
D -clk Q
D -clk
Q
clk
-clk clk
Vss
5
CVSL (Differential) Style Register
The following figure shows latches based
on a CVSL structure
An N and a P version are shown that are cascaded
to form a register
-Q
D Q
clk
D -D
Q2 -Q2
Q1 -Q1
D -D
clk clk
clk clk
Q1 Q2
6
Double-Edge Triggered Register
Double-edge triggered register can be
implemented by combining Latch 1 & Latch 2
as follows
Latch 2
D Q2
-Q2 Q
-Q
-Q1
Q1
clk
clk Latch 1 enabled Latch 2 enabled
Asynchronously Register
Asynchronously resettable register
-clk -reset
clk
-reset
7
Asynchronously Register
Asynchronously resettable and settable
register
-clk -reset
-clk
clk -clk
clk
-set
clk
D -Q D D
-clk
-clk -clk
-Q clk -clk
D Q D Q
-clk clk
-clk clk
8
Dynamic Latches
Clock active high latch
Dn CLK Xn Qn
0 H 1 0
D X
1 H 0 1
CLK Q Xn-1 Qn-1
1 L
0 L 1 Qn-1
D X
CLK -Q
Dynamic Latches
Clock active low latch
D Dn CLK Xn Qn
CLK 0 L 1 0
1 L 0 1
X Q
1 H 0 Qn-1
0 H Xn-1 Qn-1
CLK
X -Q
9
Dynamic Latches
Clock active high and low latches without feedback
D X D
CLK Q CLK
X Q
Sequencing Methods
Flip-flops
Tc
2-Phase Latches
Flip-Flops
clk
Pulsed Latches
clk clk
Flop
Flop
Combinational Logic
F
F
2-Phase Transparent Latches
φ1
tnonoverlap tnonoverlap
Tc/2
φ2
φ1 φ2 φ1
Latch
Latch
Latch
Combinational Combinational
Logic Logic
Half-Cycle
Half Cycle 1 Half-Cycle
Half Cycle 1
Pulsed Latches
φp tpw
φp φp
Latch
Latch
Combinational Logic
10
Timing Diagrams
Contamination and A tpd
Combinational
Propagation Delays A
Logic
Y
Y tcd
tpd Logic Prop. Delay
tcd L i Cont.
Logic C t Delay
D l
clk clk tsetup
Latch/Flop Clk-Q Prop Delay thold
tpcq
Flop
tccq Latch/Flop Clk-Q Cont. Delay D Q D
Max-Delay: Flip-Flops
t pd ≤ Tc − ( tsetup + t pcq )
sequencing overhead
clk clk
Q1 D2
F1
F2
Combinational Logic
Tc
tsetup
clk
tpcq
Q1 tpd
D2
11
Max Delay: 2-Phase Latches
t pd = t pd 1 + t pd 2 ≤ Tc − (2
t )
pdq
φ1 φ2 φ1
sequencing overhead
D1 Q1 Combinational D2 Q2 Combinational D3 Q3
L1
L2
L3
Logic 1 Logic 2
φ1
φ2
Tc
D1 tpdq1
Q1 tpd1
D2 tpdq2
Q2 tpd2
D3
sequencing overhead
φp φp
D1 Q1 D2 Q2
L1
L2
2
C bi i
Combinational
lLLogic
i
Tc
D1 tpdq
D2
φp
tpcq Tc tpw
Q1 tpd tsetup
(b) tpw < tsetup
D2
12
Min-Delay: Flip-Flops
tcd ≥ thold − tccq
clk
F1 Q1
CL
clk
D2
F2
clk
Q1 tccq tcd
D2 thold
CL
L
nono erlap
nonoverlap
Paradox: hold applies φ2
Q1 tcd
D2 thold
13
Min-Delay: Pulsed Latches
tcd ≥ thold − tccq + t pw
Hold time increased φp
by pulse width
Q1
L1
CL
φp
D2
L2
φp
tpw
thold
Q1 tccq tcd
D2
Time Borrowing
In a flop-based system:
Data launches on one rising edge
Must setup before next rising edge
If it arrives late, system fails
If it arrives early, time is wasted
Flops have hard edges
In a latch-based system
Data can pass through latch while transparent
Long cycle of logic can borrow time into next
As long as each loop completes in one cycle
14
Time Borrowing Example
φ1
φ2
φ1 φ2 φ1
Latch
Latch
Latch
Combinational
(a) Combinational Logic
Logic
Latch
Combinational
(b) Combinational Logic Logic
Loops may borrow time internally but must complete within the cycle
D1 Q1 D2 Q2
L1
L2
Combinational Logic 1
2-Phase Latches
− ( tsetup + tnonoverlap )
Tc
tborrow ≤ φ1
2
φ2 tnonoverlap
Tc
Pulsed Latches
tsetup
Tc/2 tborrow
tborrow ≤ t pw − tsetup Nominal Half-Cycle 1 Delay
D2
15
Clock Skew
We have assumed zero clock skew
Clocks really have uncertainty in arrival time
Decreases maximum propagation delay
Increases minimum contamination delay
Decreases time borrowing
Skew: Flip-Flops
clk clk
F2
Combinational Logic
Tc
sequencing overhead
clk
tcd ≥ thold − tccq + tskew tpcq
tskew
Q1 tpdq tsetup
D2
clk
Q1
F1
CL
clk
D2
F2
2
tskew
clk
thold
Q1 tccq
D2 tcd
16
Skew: Latches
2-Phase Latches φ1 φ2 φ1
(2
t )
D1 Q1 D2 Q2 D3 Q3
t pd ≤ Tc −
Combinational Combinational
L1
L2
L3
Logic 1 Logic 2
pdq
sequencing overhead
φ1
tcd 1 , tcd 2 ≥ thold − tccq − tnonoverlap + tskew
φ2
sequencing overhead
Two-Phase Clocking
If setup times are violated, reduce clock
speed
If hold times are violated, chip fails at any
speed
In this class, working chips are most
important
No tools to analyze clock skew
An easyy way
y to guarantee
g hold times is to use
2-phase latches with big nonoverlap times
Call these clocks φ1, φ2 (ph1, ph2)
17
Safe Flip-Flop
In class, use flip-flop with nonoverlapping
clocks
Very slow – nonoverlap adds to setup time
But no hold times
In industry, use a better timing analyzer
Add buffers to slow signals if hold time is at risk
φ2 φ1 Q
X
D Q
φ2 φ1
φ2 φ1
φ2 φ1
Clock Distribution
In a large CMOS chip, clock distribution
is a serious problem
For example,
Vdd=5V
Creg=2000pF (20K register bits @ 0.1pF)
Tclk=10ns
Trise/fall=1ns
Ipeak=C(dv/dt)=(2000p)x(5/1n)=10A
Pd=C(Vdd)2f=2000px25x100=5W
18
Clock Distribution
Clocking is a floorplanning problem because
clock delay varies with position on the chip
Ways to improve clock distribution
Physical design
Make clock delays more even
At least more predictable
Circuit design
Minimizing delays using several stages of drivers
Two most common types of physical clocking
networks
H-tree clock distribution
Balanced-tree clock distribution
clock
19
H-Tree Clock Distribution
clock
20
Reduce Clocking Power
Techniques used to reduce the high dynamic
power dissipation
Use a low capacitance clock routing line such as metal3.
y of
This layer f metal
m can be,, for
f example,
mp , dedicated to
clock distribution only
Using low-swing drivers at the top level of the tree or
in intermediate levels
Vdd
C1 C2
clkp -clkp CA
Vout
clkn -clkn
CB
C3 C4
Gnd
Clock
21
Chapter 8
Introduction to 3D
Integration
g Technology
gy
using TSV
Jin-Fu Li
D
Department off El
Electrical
i l Engineering
E i i
National Central University
Jungli, Taiwan
Outline
Why 3D Integration
An Exemplary TSV Process Flow
Stacking
St ki St Strategies
t i
Concept of 3D IC Design
Summary
1
IC Technology Evolution
Chip
Single-chip
package
k
Printed wiring
board(PCB) RF
Analog
Flash
CPU
Chemical &
Bio Sensors
Package Other
Sensors,
Imagers
3D-SIP
Nano
Device
MEMS
RF
ADC
DAC
Memory Stack
Processor
3D-IC Energy/Power
Why 3D Integration
Integrating more and more transistors in a
single chip to support more and more powerful
functionality is a trend
Usi
Using 2D integration
i t ti technology
t h l tto implement
im l m t ssuch
h
complex chips is more and more expensive and
difficult
Some alternative technologies attempting to
cope with the bottlenecks of 2D integration
technology
gy have been proposed
p p
3D integration technology using through silicon
via (TSV) has been acknowledged as one of the
future chip design technologies
2
3D Integration Technology Using TSV
3D integration technology using TSV
Multiple dies are stacked and TSV is used for the
inter-die interconnection
Die 1
Die 2
Die 3
TSV
What is TSV
Through Silicon Via (TSV):
A via that goes through the silicon substrate
Used for dies stacking
Top Bump
Wiring layer
Al wiring
TSV
CMOS
Diameter
50 um
or less
Top Bump
3
Via-First TSV Technology
Via-First TSV
(1) Before CMOS
4
An Exemplary Via-Last Process Flow (1/6)
Step 1: A wafer with CMOS circuits
…
… …
MOSFET MOSFET
Ref :ITRI
Substrate
… Via machining
(by etching or
laser dilling)
MOSFET MOSFET
Ref :ITRI
Substrate
5
An Exemplary Via-Last Process Flow (3/6)
Step 3: via filling
…
… …
Via filling
MOSFET MOSFET
Ref :ITRI
Substrate
50 ~ 100 μm
6
An Exemplary Via-Last Process Flow (5/6)
Step 5: micro bump forming
Micro Bump
…
…
…
Ref :ITRI
…
TSV
…
Ref :ITRI
7
An Exemplary 3D IC using Via-Last TSV
Bonding Bonding
Adhesive Adhesive
P-Substrate 3rd Chip
TSV
N+ P+ P+ N+ N+ P+ N+ P+ N+ N+ P+ N+
N Well N Well N Well
TSV
N+ P+ P+ N+ N+ P+ N+ P+ N+ N+ P+ N+
N Well N Well N Well
3-Tier 3D IC Cross-Section
8
Die/Wafer Assembly
Bonding technologies for 3D ICs
Wafer-to-wafer (W2W), Die-to-Wafer (D2W), and
Die-to-Die (D2D)
Comparison of different bonding technologies
Stacking Strategies
μ Bump μ Bump μ Bump
TSV
Die2
D2D Vias
Metal
Die1 Active Si
Bulk Si
Lewis, D.L. et al, “A ScanIsland Based Design Enabling Prebond Testability in DieStacked Microprocessors,”
in proc. IEEE International Test Conference (ITC), 2007, pp. 1-8
9
Fabrication Steps for Face-to-Face Stacking
1 2 3 Die2 4 5
Die2
Die2
1 2 3 4 5
Die2 Die2
Handle wafer
10
Electrical Characteristics of TSV
Capacitance of TSV
Top Bump
Wiring layer
Al wiring TSV
CMOS
Diameter
TSV Length
Dielectric Thickness
RC Characteristics of TSV
1 FO4 = 22 ps
(BSIM 70nm)
Die2
~ 0.35*RCviastack
Die1
D2D viaa
M9
via9 225 ps
> 11 FO4
…
… M2 RCviastack
1-mm top-level metal
4x minimum size
via2
M1
8 ps
via1 F2F D2D via ~ 1/3*FO4
MOSFET
11
Benefits of 3D Integration
Benefits of 3D integration over 2D
integration
High functionality
H h performance
High f
Small form factor
Low energy
High Functionality
Heterogeneous integration
Chemical &
Combine disparate Bio Sensors
technologies Other
Sensors,
DRAM, flash, RF, etc. Imagers
Nano
Combine different Device
MEMS
technology RF
nodes
ADC
DAC
E.g., 65nm technology and 45nm
technology Memory Stack
Processor
Energy/Power
12
High Performance
3D integration technology can reduce the
length of the long interconnections using TSV
For example,
example
x x x x
B B
y
1 2 1 2 z
y 3 4 y
3 4
A
A
L2D=x+2y L3D=x+y+z
High Bandwidth
3D IC allows much more IO resources than 2D
IC
p ,
For example,
Stacking of processor and memory
Memory Memory
CPU
CPU
13
Low Energy
Energy SOB
SIP
RF
Analog
Flash
CPU
3D-IC
Package
RF
Analog
Flash
SRAM
CPU
Package
Technology
Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 27
3D IC Design Approaches
rs
rf Idq
rob D$
L2 bpred
IF tlb
Multiple I$
Cores
alu stq
CPU L2
L2 dec
L2
VDD
X gnd
Y
Logic gates (FUB splitting) Transistors (circuit) Level
14
2D RAM
Bitlines
Wordlines
Dec
Dec
Dec
Dec
Block 1
Block 2
Block 3
Blockk 0
WL D
WL D
WL D
WL D
128 WLs
L Dec
L Dec
L Dec
L Dec
Bloock 4
Bloock 4
Bloock 4
Bloock 4
WL
WL
WL
WL
RAM Subarray 256 BLs
3D Wordline-Partitioned RAM
Block 1-2
Block 0-2
Block 2-2
Block 3-2
WL Dec
WL Dec
WL Dec
WL Dec
Block 1--2
Block 0--2
Block 2--2
Block 3-1
WL Decc
WL Decc
WL Decc
WL Decc
Block 5-2
Block 6-2
Block 7-2
WL Dec
WL Dec
WL Dec
WL Dec
SA 4- SA 5- SA 6-
SA 7-1
2 2 2
WLs
Block 44-2
Block 55-2
Block 66-2
Block 77-1
WL Deec
WL Deec
WL Deec
WL Deec
128 W
128 BLs
15
3D Bitline-Partitioned RAM
WL Dec
WL Dec
WL Dec
WL Dec
Block 0-2 Block 1-2 Block 2-2 Block 3-2
Dec
Dec
Dec
Dec
WL
WL
WL
WL
Address input Block 0-2 Block 1-2 Block 2-2 Block 3-1
WL Pre-Dec
Data output Mux & Mux & Mux &
Mux & SA
Mux & SA SA Mux & SA SA Mux & SA SA Mux & SA
WL Pre-Dec
WL Dec
WL Dec
WL Dec
WL Dec
Block 4-2 Mux & Block 5-2 Mux & Block 6-2 Mux & Block 7-2
Mux & SA
SA SA SA
64 WLs
WL Dec
WL Dec
WL Dec
WL Dec
Block 4-1 Block 5-1 Block 6-1 Block 7-1
W
6
256 BLs
16
Design Example
17
Summary
18